Xilinx UG018 Yard Vacuum User Manual


 
PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 225
UG018 (v2.0) August 20, 2004 1-800-255-7778
R
Table C-2: Parameters Relative to the Core Clock (CPMC405CLOCK)
Parameter Function Signals
Setup/Hold:
T
PCCK
_DCR/T
PCKC
_DCR
a
Control Inputs DCRC405ACK
T
PDCK
_DCR/T
PCKD
_DCR
a
Data Inputs DCRC405DBUSIN[0:31]
T
PCCK
_CPM/T
PCKC
_CPM Control Inputs CPMC405TIMERTICK
CPMC405CPUCLKEN
CPMC405TIMERCLKEN
CPMC405JTAGCLKEN
T
PCCK
_RST/T
PCKC
_RST Control Inputs RSTC405RESETCHIP
RSTC405RESETCORE
RSTC405RESETSYS
T
PCCK
_DBG/T
PCKC
_DBG Control Inputs DBGC405DEBUGHALT
DBGC405UNCONDDEBUGEVENT
T
PCCK
_TRC/T
PCKC
_TRC Control Inputs TRCC405TRACEDISABLE
TRCC405TRIGGEREVENTIN
T
PCCK
_EIC/T
PCKC
_EIC Control Inputs EICC405CRITINPUTIRQ
EICC405EXTINPUTIRQ
Clock to Out:
T
PCKCO
_DCR
a
Control Outputs C405DCRREAD
C405DCRWRITE
T
PCKAO
_DCR
a
Address Outputs C405DCRABUS[0:9]
T
PCKDO
_DCR
a
Data Outputs C405DCRDBUSOUT[0:31]
T
PCKCO
_CPM Control Outputs C405CPMMSREE
C405CPMMSRCE
C405CPMTIMERIRQ
C405CPMTIMERRESETREQ
C405CPMCORESLEEPREQ
T
PCKCO
_RST Control Outputs C405RSTCHIPRESETREQ
C405RSTCORERESETREQ
C405RSTSYSRESETREQ
T
PCKCO
_DBG Control Outputs C405DBGMSRWE
C405DBGSTOPACK
C405DBGWBCOMPLETE
C405DBGWBFULL
C405DBGWBIAR[0:29]
T
PCKCO
_PPC Control Outputs C405XXXMACHINECHECK
T
PCKCO
_TRC Control Outputs C405TRCCYCLE
C405TRCEVENEXECUTIONSTATUS[0:1]
C405TRCODDEXECUTIONSTATUS[0:1]
C405TRCTRACESTATUS[0:3]
C405TRCTRIGGEREVENTOUT
C405TRCTRIGGEREVENTTYPE[0:10]