Xilinx UG018 Yard Vacuum User Manual


 
PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 211
UG018 (v2.0) August 20, 2004 1-800-255-7778
R
Table A-4: PowerPC 405 to RISCTrace Signal Mapping
PowerPC 405 RISCTrace Trace
Connector
Pin
Mictor
Connector
Pin
Signal I/O Signal I/O
C405TRCCYCLE Output TrcClk Input 3 6
C405TRCODDEXECUTIONSTATUS[0] Output TS1O Input 12 24
C405TRCODDEXECUTIONSTATUS[1] Output TS2O Input 13 26
C405TRCEVENEXECUTIONSTATUS[0] Output TS1E Input 14 28
C405TRCEVENEXECUTIONSTATUS[1] Output TS2E Input 15 30
C405TRCTRACESTATUS[0] Output TS3 Input 16 32
C405TRCTRACESTATUS[1] Output TS4 Input 17 34
C405TRCTRACESTATUS[2] Output TS5 Input 18 36
C405TRCTRACESTATUS[3] Output TS6 Input 19 38