Xilinx UG018 Yard Vacuum User Manual


 
116 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide
1-800-255-7778 UG018 (v2.0) August 20, 2004
Chapter 2: Input/Output Interfaces
R
Figure 2-42: Correct Wiring of JTAG Chains with Individual PPC405 Connections (Separate JTAG Chains)
PPC405 Core
PPC405 Core
JTGC405TDI
C405JTGTDO
JTGC405TMS
JTGC405TCK
C405JTGTDOEN
JTGC405TRSTNEG
JTGC405TDI
C405JTGTDO
JTGC405TMS
JTGC405TCK
C405JTGTDOEN
JTGC405TRSTNEG
TDI
TMS
TCK
TDI
TMS
TCK
TDI
TMS
TDO
TDO
TDO
TCK
TRST
TDI
TMS
TCK
TRST
TDO
UG018_75_032504