Xilinx UG018 Yard Vacuum User Manual


 
118 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide
1-800-255-7778 UG018 (v2.0) August 20, 2004
Chapter 2: Input/Output Interfaces
R
Figure 2-44: Correct Wiring of JTAG Chain with Multiplexed PPC405 Connection
PPC405 Core
JTGC405TDI
C405JTGTDO
JTGC405TMS
JTGC405TCK
C405JTGTDOEN
JTGC405TRSTNEG
PPC405 Core
SEL
JTGC405TDI
C405JTGTDO
JTGC405TMS
JTGC405TCK
C405JTGTDOEN
JTGC405TRSTNEG
0
1
1
0
1
1
0
1
1
0
1
1
0
1
1
0
0
1
0
1
0
1
1
1
1
1
TDO
TDO
TDO
TCK
TMS
TDI
TDI
SEL
TRST
TCK
TMS
TDI
TMS
TCK
UG018_73_032504